CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 正弦波 方波

搜索资源列表

  1. dds2.c

    0下载:
  2. 单片机写进FPGA的实现DDS的代码,包括正弦波,方波,三角波-SCM included FPGA code DDS, including sine, square, triangle wave
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:24545
    • 提供者:梁耀斌
  1. monidianlu

    0下载:
  2. 模拟电路的仿真,能对正弦波,方波等信号进行积分等仿真-analog circuit simulation, capable of sine wave, square wave signal simulation for scoring
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1866
    • 提供者:洪峰
  1. 2006529103935

    0下载:
  2. 波形发生器,能实现多种波形的输出,如:正弦波,方波,三角波,锯齿波等,同时可调-waveform generator, can realize the output waveform, such as : sine, square, triangle wave, sawtooth wave. Meanwhile adjustable
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:560313
    • 提供者:宣景
  1. edm12864p

    0下载:
  2. 用51控制,按键选择波形,外不中断0,正弦波,方波,三角波
  3. 所属分类:中间件编程

    • 发布日期:2008-10-13
    • 文件大小:2295
    • 提供者:王晓娣
  1. 正弦波,方波,三角波波形选择显示软件

    0下载:
  2. 能够显示正弦-方波-三角波,并且能够选择性显示几种波形
  3. 所属分类:GDI/图象编程

  1. wave

    0下载:
  2. 正弦波,方波,三角波,简单易懂。 -Sine wave, square wave, triangle wave, easy-to-read.
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-11
    • 文件大小:2825614
    • 提供者:solo
  1. DDS

    0下载:
  2. 1、 能够产生正弦波、方波、三角波和锯齿波四种波形;AD9850产生正弦波,单片机控制DA产生正弦波,三角波,锯齿波,方波。 2、 可手动设置输出频率,提供扫频输出功能; 3、 方波:提供PWM波输出,及占空比可调(可调范围2 ~98 ,步进为2 )。 4、 可以通过键盘输入控制单片机产生的波形,在液晶上显示此时输出的波形和频率; 5、 输出频率和手动输入频率误差很小,较高频率的无失真波形。 -the function creat sine wave,trangle wave
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-25
    • 文件大小:14691
    • 提供者:苏诗卧龙
  1. DDS

    0下载:
  2. 我们小组共了一个月做的DDS,程序核心用的是Verilog HDL,有仿真波形,输出正弦波,方波,及三角波,步进可调.频率范围1HZ--10MHZ-Our group for a month to do a total of DDS, the procedure is used in the core of Verilog HDL, there are simulation waveform, the output sine wave, square wave and triangular wa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:117375
    • 提供者:tiancheng
  1. microds

    0下载:
  2. 1、 能够产生正弦波、方波、三角波和锯齿波四种波形;AD9850产生正弦波,单片机控制DA产生正弦波,三角波,锯齿波,方波。 2、 可手动设置输出频率,提供扫频输出功能; 3、 方波:提供PWM波输出,及占空比可调(可调范围2 ~98 ,步进为2 )。 4、 可以通过键盘输入控制单片机产生的波形,在液晶上显示此时输出的波形和频率; 5、 输出频率和手动输入频率误差很小,较高频率的无失真波形。 -can creat sine wave,saw wave,trangle wave
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:55531
    • 提供者:苏诗卧龙
  1. 51DDS

    0下载:
  2. 用51单片机模拟dds产生正弦波,方波,三角波,幅度频率可控。-Dds generated using 51 MCU simulation sine, square, triangle wave, amplitude frequency control.
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:26135
    • 提供者:王风存
  1. wavegenerator

    0下载:
  2. 开发环境为QuartusII,能产生正弦波、三角波、方波和锯齿波,幅度为5V,采样为8位,在开发板已经验证通过,有详细的波形图和管脚分配图。-Development environment for QuartusII, can generate sine wave, triangle wave, square wave and sawtooth wave, ranging from 5V, sampling for 8, in the development board has to verif
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:498394
    • 提供者:李海明
  1. test_DAC0832

    0下载:
  2. 项目描述:产生正弦波,方波,三角波的能力.可存储多种波形,输出波形频率100Hz--200kHz,-Project Descr iption: have a sine wave, square wave, triangle wave capacity. Can store a variety of waveforms, the output waveform frequency 100Hz- 200kHz,
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:68519
    • 提供者:yagerya
  1. at89c52t0

    0下载:
  2. 使用单片机,正弦波发生器,key0口按键减小输出频率,key1口按键增加输出频率 sinout口输出正弦波,(cosout口输出余弦波),使用定时器T0,16位定时模式。 R6、R7用作10毫秒延时寄存器。Fout输出方波。且输出的正弦波在0.01-83Hz范围内,方波在1.3Hz-10.6kHz范围内。-The use of single-chip, sine wave generator, key0 I reduce the output frequency keys, key1
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-31
    • 文件大小:1864
    • 提供者:ff
  1. 9833

    1下载:
  2. 基于51系列单片机以及AD9833DDS数字芯片的正弦波、三角波、方波、锯齿波发生装置,频率0到10M任意可调。-A wave generator including sin, rec, triangle... Base on 51 MCU & AD9833 DDS chip.
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:46219
    • 提供者:邓天坚
  1. vhld_fpga_box

    0下载:
  2. Verilog 编写的波形发生器,可发生正弦波,三角波,方波,可以调频-Prepared Verilog waveform generator, can occur sine, triangle wave, square wave, you can FM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:267223
    • 提供者:ivan
  1. chenxu

    0下载:
  2. 各种波形产生器,包括方波,三角波,锯齿波,正弦波,且可调频调幅 -A variety of waveform generator, including square, triangle wave, sawtooth, sine, and can be FM-AM
  3. 所属分类:DSP program

    • 发布日期:2017-04-01
    • 文件大小:4244
    • 提供者:hulei198391
  1. 正弦波等四种波型

    1下载:
  2. DAC0832输出正弦波,三角波,方波,锯齿波波形(shuchuboxing sanjiaobo fangbo juchibo)
  3. 所属分类:易语言编程

    • 发布日期:2017-12-24
    • 文件大小:19456
    • 提供者:赵小妮
  1. DAC0832

    2下载:
  2. 信号发生器 可生成阶梯波 方波 三角波 正弦波 频率和幅度可调 1602显示 proteus+C51源码(Jie Tibo can generate triangular square wave sine wave Adjustable frequency and magnitude 1602 display Proteus+C51 source code)
  3. 所属分类:单片机开发

    • 发布日期:2020-09-24
    • 文件大小:116736
    • 提供者:xuzhengqing
  1. DAC波形发生器(正弦,三角,方波)

    1下载:
  2. 使用STM32的dac生成正弦波、三角波、方波(Using the DAC of STM32 to generate sine wave, triangle wave and square wave)
  3. 所属分类:单片机开发

    • 发布日期:2020-12-11
    • 文件大小:2200576
    • 提供者:把酒醉清风
  1. AD9834两路正弦,三角,方波AD PCB

    0下载:
  2. AD9834两路正弦,三角,方波AD PCB源文件,非PDF,元件库一起打包
  3. 所属分类:硬件设计

« 12 3 4 5 6 7 8 9 10 ... 45 »
搜珍网 www.dssz.com